请选择 进入手机版 | 继续访问电脑版

明德扬论坛

 找回密码
 立即注册

QQ登录

只需一步,快速开始

微信扫一扫,快捷登录!

查看: 10498|回复: 2

【每周FPGA案例】至简设计系列_LCD入门案例_边框显示

[复制链接]
发表于 2020-9-11 15:27:23 | 显示全部楼层 |阅读模式

马上注册,看完整文章,学更多FPGA知识。

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
至简设计系列_LCD入门案例_边框显示
--作者:喝喝

本文为明德扬原创及录用文章,转载请注明出处


1.1 总体设计
1.1.1 概述
液晶显示器是一-种通过液晶和色彩过滤器过滤光源,在平面面板上产生图像的数字显示器。LCD 的构造是在两片平行的玻璃基板当中放置液晶盒,下基板玻璃上设置薄膜晶体管,.上基板玻璃上设置彩色滤光片,通过薄膜晶体管上的信号与电压改变来控制液晶分子的转动方向,从而达到控制每个像素点偏振光出射与否而达到显示目的。与传统的阴极射线管相比,LCD具有占用空间小,低功耗,低辐射,无闪烁,降低视觉疲劳等优点。现在LCD已渐替代CRT成为主流,价格也已经下降了很多,并已充分的普及。
本设计的主要任务是基于FPGALCD显示控制器设计,兼顾程序的易用性,方便此后模块的移植和应用。采用VHDL硬件描述语言在QUARTUS II软件平台上实现FPGALCD的控制,在LCD模块上实现任意彩色图片的显示,与此同时还须实现实时刷新数据的功能。这将有助于采用FPGA的系列产品的开发,特别是需要用到LCD而采用FPGA的产品的开发。不但缩短了FPGA的开发周期,也使更多采用FPGA设计的产品上出现LCD,增加了人机之间的交互性。

1.1.2 设计目标
此设计通过fpgalcd发送图片信息,然后直接在LCD显示出图片

1.1.3信号列表
  
信号名
  
接口方向
定义
clk_50m
输入
系统时钟
rst_n
输入
低电平复位信号
lcd_hsync
输出
行同步信号
lcd_vsync
输出
场同步信号
lcd_de
输出
行和场同时显示时序段
  
有效显示数据段信号
lcd_rgb
输出
显示颜色RGB
  
[23:16]:表示的是R[7:0]
  
[15:8]:表示的是G[7:0]
  
[7:0]:表示的是B[7:0]
lcd_dclk
输出
像素时钟信号


1.1.4 设计思路
设计行显示时序段和场显示时序段,来确定矩形边框的宽度,根据各种颜色的数值来确定lcd显示屏显示出的边框颜色
行时钟计数器cnt_hys:用来计算行同步信号的帧长,加一条件是1,结束条件为数到1056个像素就结束
场时钟计数器cnt_vys:用来计算场同步信号的帧长,加一条件是场信号每数到1056个像素(即为一行结束的时刻),结束条件为数到525行就结束

1.1.5参考代码
  1. module mdyLcdDispRect(
  2.     clk_50m     ,  
  3.     rst_n       ,

  4.     lcd_hsync   ,
  5.     lcd_vsync   ,
  6.     lcd_de      ,


  7.     lcd_rgb     ,
  8.     lcd_dclk   
  9.       
  10. );

  11.     input               clk_50m     ;  
  12.     input               rst_n       ;
  13.     output              lcd_hsync   ;
  14.     output              lcd_vsync   ;
  15.     output              lcd_de      ;

  16.     output  [23:0]      lcd_rgb     ;
  17.     output              lcd_dclk    ;
  18.       


  19.     reg                 lcd_hsync   ;
  20.     reg                 lcd_vsync   ;

  21.     reg     [23:0]      lcd_rgb     ;


  22.     parameter     LINE_PR   =  1056 ;      
  23.     parameter     FRAME_PER =   525 ;           


  24.     parameter     H_SYNC    =    20 ;      
  25.     parameter     V_SYNC    =    10 ;              

  26.     parameter     HDE_START =    46 ;
  27.     parameter     HDE_END   =   846 ;
  28.     parameter     VDE_START =    23 ;
  29.     parameter     VDE_END   =   503 ;

  30.    

  31.     reg    [12:0]     cnt_hsy       ;
  32.     reg    [12:0]     cnt_vsy       ;
  33.     reg               hsync_de      ;
  34.     reg               vsync_de      ;

  35.     wire              display_area  ;
  36.     wire              e_area        ;
  37.     wire              add_cnt_hsy   ;
  38.     wire              end_cnt_hsy   ;
  39.     wire              add_cnt_vsy   ;
  40.     wire              end_cnt_vsy   ;
  41.     reg [ 7:0]        cnt0          ;
  42.     wire              add_cnt0      ;
  43.     wire              end_cnt0      ;
  44.     reg  [15:0]       cnt1          ;
  45.     wire              add_cnt1      ;
  46.     wire              end_cnt1      ;


  47.     assign clk       = clk_50m              ;
  48.     assign lcd_dclk  = ~ clk_50m            ;
  49.     assign lcd_de    = hsync_de & vsync_de  ;
  50.    
  51.    


  52.     always @ (posedge clk or negedge rst_n)begin
  53.             if(!rst_n)begin
  54.                 cnt_hsy <= 0;
  55.             end
  56.             else if(add_cnt_hsy)begin
  57.                 if(end_cnt_hsy)
  58.                     cnt_hsy <= 0;
  59.                 else
  60.                     cnt_hsy <= cnt_hsy + 1;
  61.             end
  62.         end
  63.    
  64.     assign add_cnt_hsy = 1;
  65.     assign end_cnt_hsy = add_cnt_hsy && cnt_hsy == LINE_PR -1;
  66.    

  67.     always @ (posedge clk or negedge rst_n)begin
  68.         if(!rst_n)begin
  69.             cnt_vsy <= 0;
  70.         end
  71.         else if(add_cnt_vsy)begin
  72.             if(end_cnt_vsy)
  73.                 cnt_vsy <= 0;
  74.             else
  75.                 cnt_vsy <= cnt_vsy + 1;
  76.         end
  77.     end
  78.    
  79.     assign add_cnt_vsy = end_cnt_hsy;
  80.     assign end_cnt_vsy = add_cnt_vsy && cnt_vsy == FRAME_PER - 1;
  81.          

  82.     always @ (posedge clk or negedge rst_n)begin
  83.         if(!rst_n)begin
  84.             lcd_hsync <= 1'b0 ;
  85.         end
  86.         else if(end_cnt_hsy)begin
  87.             lcd_hsync <= 1'b0;
  88.         end
  89.         else if(add_cnt_hsy && cnt_hsy == H_SYNC-1 )begin
  90.             lcd_hsync <= 1'b1;
  91.         end
  92.     end

  93.     always @ (posedge clk or negedge rst_n)begin
  94.         if(!rst_n)begin
  95.             hsync_de <= 1'b0;
  96.         end
  97.         else if(add_cnt_hsy && cnt_hsy == HDE_START-1)begin
  98.             hsync_de <= 1'b1;
  99.         end
  100.         else if(add_cnt_hsy && cnt_hsy == HDE_END-1)begin
  101.             hsync_de <= 1'b0;
  102.         end
  103.     end

  104.          
  105.     always @ (posedge clk or negedge rst_n)begin
  106.         if(!rst_n)begin
  107.             lcd_vsync <= 1'b0 ;
  108.         end
  109.                   else if(add_cnt_vsy && cnt_vsy == V_SYNC-1 )begin
  110.             lcd_vsync <= 1'b1;
  111.         end
  112.         else if(end_cnt_vsy)begin
  113.             lcd_vsync <= 1'b0;
  114.         end

  115.     end

  116.          
  117.     always @ (posedge clk or negedge rst_n)begin
  118.         if(!rst_n)begin
  119.             vsync_de <= 1'b0;
  120.         end
  121.         else if(add_cnt_vsy && cnt_vsy == VDE_START-1)begin
  122.             vsync_de <= 1'b1;
  123.         end
  124.         else if(add_cnt_vsy && cnt_vsy ==VDE_END-1)begin
  125.             vsync_de <= 1'b0;
  126.         end
  127.     end


  128.     assign   display_area = hsync_de && vsync_de;


  129.     assign   blue_area = (cnt_hsy >= HDE_START + 400-125) && (cnt_hsy<HDE_START+400+125) &&
  130.                          (cnt_vsy >= VDE_START + 240-80) && (cnt_vsy<VDE_START+240+80) ;

  131.                      
  132.     assign   reb_area  = (cnt_hsy >= HDE_START + 400-250) && (cnt_hsy<HDE_START+400+250) &&
  133.                          (cnt_vsy >= VDE_START + 240-160) && (cnt_vsy<VDE_START+240+160) ;


  134.     always @ (posedge clk or negedge rst_n)begin
  135.         if(!rst_n)begin
  136.               lcd_rgb <= 0;
  137.         end
  138.         else if(display_area)begin
  139.             if(blue_area)begin
  140.                 lcd_rgb <= 24'h00_00_ff ;
  141.             end
  142.             else if(reb_area)begin
  143.                 lcd_rgb <= 24'hff_00_00 ;
  144.             end
  145.             else begin
  146.                 lcd_rgb <= 24'h00_ff_00 ;
  147.             end
  148.         end
  149.         else begin
  150.             lcd_rgb <= 0;
  151.         end
  152.     end



  153. endmodule

复制代码



1.2 效果和总结

65.jpg



本案例我们设计了蓝色、红色和绿色的矩形框,蓝色和红色的矩形框的场信号是160行、行信号是250个像素;绿色的矩形框的场信号是160行、行信号是300个像素,所以我们后面就得到一个160*250的蓝色矩形框、500*320-250*160的红色矩形边框和一个800*480-500*320的绿色矩形边框
在这个设计案例中,至简设计法和明德扬计数器模板发挥了至关重要的作用,使我能够快速准确完成设计。希望有兴趣的同学可以运用至简设计法和明德扬模板尝试一下拓展设计哦。

感兴趣的朋友也可以访问明德扬论坛(http://www.fpgabbs.cn/)进行FPGA相关工程设计学习,也可以看一下我们往期的文章:

1.3 公司简介
明德扬是一家专注于FPGA领域的专业性公司,公司主要业务包括开发板、教育培训、项目承接、人才服务等多个方向。点拨开发板——学习FPGA的入门之选。
MP801
开发板——千兆网、ADDA、大容量SDRAM等,学习和项目需求一步到位。网络培训班——不管时间和空间,明德扬随时在你身边,助你快速学习FPGA周末培训班——明天的你会感激现在的努力进取,升职加薪明德扬来助你。就业培训班——七大企业级项目实训,获得丰富的项目经验,高薪就业。专题课程——高手修炼课:提升设计能力;实用调试技巧课:提升定位和解决问题能力;FIFO架构设计课:助你快速成为架构设计师;时序约束、数字信号处理、PCIE、综合项目实践课等你来选。项目承接——承接企业FPGA研发项目。人才服务——提供人才推荐、人才代培、人才派遣等服务。

【设计教程下载】

至简设计系列_LCD入门案例_边框显示.pdf (644.89 KB, 下载次数: 1598)
https://www.bilibili.com/video/BV1Af4y117H4?p=34


【设计代码下载】
mdyLcdDispRect_project.zip (4.3 KB, 下载次数: 1645)
FPGA视频课程  培训班 FPGA学习资料
吴老师 18022857217(微信同号) Q1241003385
发表于 2020-10-20 11:00:46 | 显示全部楼层
引脚配置界面中的lcd_back、lcd_int、lcd_rst引脚为多余的引脚配置,直接删除即可
 楼主| 发表于 2020-11-25 16:46:32 | 显示全部楼层
【问题1】关于代码参数看不明白。
答:这些参数,是根据时序手册过来的,另外视频里也有说明这些参数的意义,关于这些意义,请看LCD的第一个案例说明,http://www.fpgabbs.cn/forum.php? ... &extra=page%3D1
FPGA视频课程  培训班 FPGA学习资料
吴老师 18022857217(微信同号) Q1241003385
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|MDYBBS ( 粤ICP备16061416号 )

GMT+8, 2024-3-29 22:41 , Processed in 0.062568 second(s), 25 queries .

Powered by Discuz! X3.4

本论坛由广州健飞通信有限公司所有

© 2001-2019 Comsenz Inc.

快速回复 返回顶部 返回列表